Disable swayidle

This commit is contained in:
Natsu Kagami 2024-03-30 14:19:14 +01:00
parent 908f74f121
commit 53dec713ec
Signed by: nki
GPG key ID: 55A032EB38B49ADB

View file

@ -397,7 +397,7 @@ in
enable = true;
timeouts = [
# Lock after 15 minutes of idle
{ timeout = 15 * 60; command = cfg.lockCmd; }
# { timeout = 15 * 60; command = cfg.lockCmd; }
];
events = [
{ event = "lock"; command = cfg.lockCmd; }